Power Optimization at Nanoscale Using Finfets and Its Comparison with Cmos

نویسندگان

  • Mugdha S. Sathe
  • Nisha P. Sarwade
چکیده

Amount of power consumption is one of the important measures of performance of an integrated circuit. CMOS is the latest technology which is in use till date. This paper gives an overview of the power dissipation occurring in CMOS circuit. The paper then describes the advantages and limitations of power optimization techniques of CMOS. As we go deeper into the nanometer scale, MOS transistors face many limitations such as Short Channel Effects (SCEs), Drain Induced Barrier Lowering (DIBL) and subthreshold leakage. Multigated MOS devices prove to be a better solution to these problems. Thus FinFET device along with various power optimization techniques using FinFETs is described. At the end a comparison of the simulation results at 45nm based on the factors of leakage current, leakage power and delay is given. These results show that FinFET gives better power optimization than MOS transistor at nanoscale.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Design and Analysis of Johnson Counter Using Finfet Technology

Conventional CMOS technology's performance deteriorates due to increased short channel effects. Double-gate (DG) FinFETs has better short channel effects performance compared to the conventional CMOS and stimulates technology scaling. The main drawback of using CMOS transistors are high power consumption and high leakage current. Fin-type field-effect transistors (FinFETs) are promising substit...

متن کامل

Design of Optimized Quantum-dot Cellular Automata RS Flip Flops

   Complementary metal-oxide semiconductor (CMOS) technology has been the industry standard to implement Very Large Scale Integrated (VLSI) devices for the last two decades. Due to the consequences of miniaturization of such devices (i.e. increasing switching speeds, increasing complexity and decreasing power consumption), it is essential to replace them with a new technology. Quantum-dot c...

متن کامل

Leakage Current And Dynamic Power Analysis Of Finfet Based 7t Sram At 45nm Technology

As technology is scaled down, the importance of leakage current and power analysis for memory design is increasing. In this paper, we discover an option for low power interconnect synthesis at the 45nm node and beyond, using Fin-type Field-Effect Transistors (FinFETs) which are a promising substitute for bulk CMOS at the considered gate lengths. We consider a mechanism for improving FinFETs eff...

متن کامل

FinFET Circuit Design

Fin-type field-effect transistors (FinFETs) are promising substitutes for bulk CMOS at the nanoscale. FinFETs are double-gate devices. The two gates of a FinFET can either be shorted for higher perfomance or independently controlled for lower leakage or reduced transistor count. This gives rise to a rich design space. This chapter provides an introduction to various interesting FinFET logic des...

متن کامل

Design of low power random number generators for quantum-dot cellular automata

Quantum-dot cellular automata (QCA) are a promising nanotechnology to implement digital circuits at the nanoscale. Devices based on QCA have the advantages of faster speed, lower power consumption, and greatly reduced sizes. In this paper, we are presented the circuits, which generate random numbers in QCA.  Random numbers have many uses in science, art, statistics, cryptography, gaming, gambli...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2014